Što je puni oduzimač: Izgradnja pomoću logičkih vrata

Isprobajte Naš Instrument Za Uklanjanje Problema





Općenito, puni oduzimač jedan je od najčešće korištenih i bitni kombinacijski logički sklopovi . To je osnovni elektronički uređaj, koji se koristi za oduzimanje dvaju binarnih brojeva. U ranijem članku već smo dali osnovnu teoriju napola zbroj i puni zbroj koji za proračun koristi binarne znamenke. Isto tako, puni oduzimač koristi binarne znamenke poput 0,1 za oduzimanje. Krug ovoga može se graditi s logičkim vratima poput OR, Ex-OR, NAND gate. Ulazi ovog oduzimača su A, B, Bin, a izlazi D, Bout.

Ovaj članak daje ideju teorije punog oduzimača koja obuhvaća premise poput onoga što je oduzimač, dizajna s logičkim vratima, tablice istine itd. Ovaj je članak koristan studentima inženjerstva koji mogu proći kroz ove teme u HDL praktičnom laboratoriju.




Što je oduzimač?

Oduzimanje binarnih znamenki može se izvršiti uz pomoć kruga oduzimača. Ovo je jedna vrsta kombinacijskog logičkog sklopa, koji se koristi za izvođenje oduzimanja dviju binarnih znamenki poput 0 i 1. Oduzimanje binarnih znamenki od 0 do 0 ili 0 do 1 ne mijenja rezultat, oduzimanje 1 do 1 rezultirat će kao 0, ali za oduzimanje 1 do 0 potrebno je posuditi.

Na primjer, dvobitni sklop oduzimača uključuje dva ulaza poput A i B, dok su izlazi različiti i posuđeni. Ovaj se krug može graditi sa zbrajalicama zajedno s pretvaračima koji se nalaze među svim ulazima podataka, kao i posuđenim (Bin) ulazom ranije faze FA.



Oduzimači su razvrstani u dvije vrste, poput poluoduzimača i punog oduzimača. Ovdje razgovaramo o punom oduzimaču.

Što je puni oduzimač?

To je elektronički uređaj ili logički sklop koji vrši oduzimanje dviju binarnih znamenki. To je kombinacijski logički sklop koji se koristi u digitalnoj elektronici. Mnogi kombinacijski krugovi dostupni su u tehnologija integriranih sklopova naime sabirači, enkoderi, dekoderi i multiplekseri. U ovom ćemo članku razgovarati o njegovoj konstrukciji pomoću polovnog oduzimača, kao i o pojmovima poput tablice istine.


Puni oduzimač

Puni oduzimač

To se može izraditi s dva polovična oduzimača, što uključuje tri ulaza kao što su minuend, subtrahend i bit za posuđivanje, posuđivanje, posudba među ulazima dobiva se oduzimanjem dviju binarnih znamenki i oduzima od sljedećeg para višeg reda bitova, izlazi kao razlika i posuđuju.

The puni blok dijagram oduzimača prikazano je dolje. Najvažniji nedostatak poluoduzimača je što u ovom oduzimaču ne možemo napraviti bit za posudbu. Dok u njegovu dizajnu zapravo možemo napraviti bit za posudbu u krugu i oduzeti sa preostala dva i / ps. Ovdje je A minuend, B je subtrahend, a Bin posuđen. Izlazi su Difference (Diff) i Bout (Borrow out). Kompletni krug oduzimača može se dobiti korištenjem dva napola oduzimača s dodatnim ILI vratima.

Potpuni blok dijagram oduzimača

Potpuni dijagram kruga oduzimača s logičkim vratima

The shematski prikaz punog oduzimača pomoću osnovnih vrata s prikazan je na sljedećem blok dijagramu. Ovaj se krug može izvesti s dva kruga napola oduzimača.

U početnom krugu pola oduzimača, binarni ulazi su A i B. Kao što smo razgovarali u prethodnom članku o poluoduzimaču, generirat će dva izlaza, naime razlika (Diff) i Borrow.

Potpuni oduzimač pomoću logičkih vrata

Potpuni oduzimač pomoću logičkih vrata

Razlika o / p lijevog oduzimača daje se lijevom krugu poluoduzeća. Dif izlaz se dalje pruža na ulaz desne polovice kruga oduzimača. Ponudili smo posudbu u bitima za ostale i / p sljedeće krug pola oduzimača . Još će jednom dati Diffu, kao i Borrow out bit. Konačni izlaz ovog oduzimača je Diff-output.

S druge strane, Posuđivanje iz oba pola kruga oduzimača povezano je na ILI logička vrata. Kasnije od davanja ILI logike za dva izlazna bita oduzimača, dobivamo konačni Posudi iz oduzimača. Zadnja posudba koja označava MSB (najznačajniji dio).

Ako promatramo unutarnji krug ovoga, možemo vidjeti dva Polovica oduzimača s NAND vratima i XOR vrata s dodatnim ILI vratima.

Puna tablica istine oduzimača

Ovaj sklop oduzimača izvršava oduzimanje između dva bita, koji ima 3 ulaza (A, B i Bin) i dva izlaza (D i Bout). Ovdje ulazi označavaju minuend, subtrahend i prethodno posuđivanje, dok su dva izlaza označena kao posuđivanje o / p i razlika. Sljedeća slika prikazuje tablicu istine punog oduzimača.

Ulazi

Izlazi

Izbornik (A)

Oduzimanje (B) Posudi (kanta) Razlika (D)

Posudba (susret)

0

000

0

0

0111
0101

1

0110

1

1

0010
1010

0

1

1000

1

111

1

K-karta

Pojednostavljenje puni oduzimač K-karta za gornju razliku i posuđivanje prikazana je u nastavku.

K- Karta razlike

Jednadžbe za razliku kao i Bin spomenute su u nastavku.

K- Karta za Bouta

Izraz za razliku je,

D = A’B’Bin + AB’Bin ’+ A’BBin’ + ABBin

Izraz za Posuditi je,

Borba = A’Bin + A’B + BBin

Kaskadiranje kruga punog oduzimača

Prethodno smo raspravljali o pregledu ove poput konstrukcije, sheme sklopa s logičkim vratima. Ali ako želimo oduzeti dva inače više 1-bitna broja, ovaj sklop oduzimača vrlo je koristan za kaskadno spajanje jednobitnih brojeva i oduzima više od dva binarna broja. U takvim se slučajevima koristi puni kaskadni krug zbrajanja uz pomoć NE logičkih vrata. Pretvorba sklopa iz punog zbrajanja u puni oduzimač može se izvršiti pomoću tehnike komplementa 2.

Općenito, invertirajte ulaze za odbijanje za puni zbrajač pomoću NOT vrata, inače pretvarača. Dodavanjem ovog Minuenda (neinvertirani ulaz) i Subtrahenda (obrnuti ulaz), LSB (nosi ulaz) FA kruga je 1, što znači Logic High, u suprotnom oduzimamo dvije binarne znamenke pomoću tehnike komplementa 2. Izlaz FA je Diff bit, a ako izvrnemo invertiranje, možemo dobiti MSB, inače Borrow bit. Zapravo, možemo dizajnirati sklop tako da se može promatrati izlaz.

Verilog kod

Za kodiranje, prvo moramo provjeriti strukturni način modeliranja dijagrama logičkog sklopa. Logički dijagram ovoga može se izgraditi pomoću AND ulaza, napola oduzimajućih krugova i kombinacije logičkih vrata poput AND, OR, NOT, XOR vrata. Kao i u strukturnom modeliranju, mi objašnjavamo različite module za svaki osnovni raspored elemenata. U sljedećem kodu mogu se definirati različiti moduli za sva vrata.

Ovaj je modul za OR vrata.

ULAZ: a0, b0

IZLAZ: c0

Na kraju, ujedinit ćemo ove module precizne vratnice u jedini modul. Za to ovdje koristimo instanciranje modula. Sada se ova instancija može koristiti jednom kad želimo replicirati točan modul ili funkciju za različite skupove unosa. Prvo dizajniramo polovni oduzimač, a zatim se ovaj modul koristi za implementaciju potpunog oduzimača. Za provedbu ovoga koristimo OR vrata za kombiniranje o / ps za varijablu Bout. The verilog kod za puni oduzimač prikazano je dolje

modul ili_vrata (a0, b0, c0)

ulaz a0, b0

izlaz c0

dodijeliti c0 = a0 | b0

završni modul

modul xor_gate (a1, b1, c1)

ulaz a1, b1

izlaz c1

dodijeliti c1 = a1 ^ b1

završni modul

modul and_gate (a2, b2, c2)

ulaz a2, b2

izlaz c2

dodijeliti c2 = a2 & b2

završni modul

modul not_gate (a3, b3)

ulaz a3

izlaz b3

dodijeliti b3 = ~ a3

završni modul

modul poluizvodnik (a4, b4, c4, d4)

ulaz a4, b4

izlaz c4, d4

žica x

xor_gate u1 (a4, b4, c4)

i_ vrata u2 (x, b4, d4)

not_gate u3 (a4, x)

završni modul

modul full_subtractor (A, B, Bin, D, Bout)

ulaz A, B, Bin

izlaz D, Boj

žica p, q, r

polovica_oduzimača u4 (A, B, p, q)

polovica_oduzimača u5 (p, Bin, D, r)

or_gate u6 (q, r, Bout)

završni modul

Potpuni oduzimač pomoću 4X1 multipleksera

Izvođenje oduzimanja može se izvršiti metodom komplementa dva. Stoga nam je potrebna upotreba 1-XOR vrata koja se koriste za invertiranje 1-bita i njegovo uključivanje u prijenosni bit. Izlaz DIFFERENCE sličan je izlaznom SUM-u u krugu punog zbrajanja, ali BARROW o / p nije sličan izlazu punog zbrajanja, ali je obrnut kao i komplimentiran, poput A - B = A + (-B) = A + dvojkov komplement B.

Dizajn ovog korištenja multipleksera 4X1 prikazan je u sljedećem logičkom dijagramu. Ovaj dizajn može se izvesti pomoću sljedećih koraka.

4X1 Multiplexer

4X1 Multiplexer

  • U koraku 1 postoje dva izlaza poput Sub i Posudba. Dakle, moramo odabrati 2 multipleksera.
  • U koraku 2 tablica istine može se implementirati zajedno s K-mapama
  • U koraku 3, dvije varijable mogu se odabrati kao odabrani redak. Na primjer, B & C su u ovom slučaju.

Tablica istine

The tablica istine punog oduzimača krug koji koristi 4X1 multiplekser uključuje sljedeće

DO

B C Pod

Posuditi

0

0000
0011

1

0101

1

0

1101
1001

0

1

0100
1100

0

1

111

1

Potpuni oduzimač pomoću dekodera

Projektiranje punog oduzimača pomoću 3-8 dekodera može se izvesti pomoću aktivnih niskih izlaza. Pretpostavimo da dekoder funkcionira pomoću sljedećeg logičkog dijagrama. Dekoder uključuje tri ulaza u 3-8 dekodera. Na temelju tablice istine možemo napisati minterme za rezultate razlike i posuđivanja.

Iz gornje tablice istine,

Za različite funkcije u tablici istine, minterme se mogu zapisati kao 1,2,4,7, a slično, za posuđivanje, minterme se mogu zapisati kao 1,2,3,7. 3-8 dekoderi uključuju tri ulaza, kao i 8 izlaza lik0 do 7 brojeva.

Dekoder 3 do 8

Dekoder 3 do 8

Ako je ulaz oduzimača 000, tada će biti aktivan izlaz '0', a ako je ulaz 001, tada će biti aktivan izlaz '1'.

Sada se izlazi oduzimača mogu uzeti iz 1, 2, 4 i 7 da bi se povezali s NAND vratima, tada će izlaz biti razlika. Ti se izlazi mogu povezati s drugim NAND logičkim vratima gdje se izlaz mijenja na posuđivanje.

Na primjer, ako je ulaz 001, tada će izlaz biti 1, što znači da je aktivan. Dakle, izlaz je aktivan nizak, a izlaz se može dobiti iz NAND ulaza koji se naziva razlika funkcija poput visoke i funkcija posuđivanja također se mijenja kao visoka. Stoga dobivamo željeni izlaz. Napokon, dekoder radi kao puni oduzimač.

Prednosti i nedostatci

The prednosti oduzimača uključuju sljedeće.

  • Dizajniranje oduzimača vrlo je jednostavno kao i izvedba
  • Smanjenje snage unutar DSP-a (digitalna obrada signala)
  • Računski zadaci mogu se izvoditi velikom brzinom.

The nedostaci oduzimača uključuju sljedeće.

  • U poluoduzimaču nema uvjeta za prihvaćanje unosa sličnog posudbi iz ranije faze.
  • Brzina oduzimača može biti djelomična zbog kašnjenja u krugu.

Prijave

Neki od primjene punog oduzimača uključuju sljedeće

  • Oni se obično koriste za ALU (aritmetička logička jedinica) u računalima kako bi se oduzeli kao CPU i GPU za primjenu grafike kako bi se smanjila poteškoća u krugu.
  • Oduzimači se uglavnom koriste za obavljanje aritmetičkih funkcija poput oduzimanja, kako u elektroničkim kalkulatorima, tako i u digitalnim uređajima.
  • Oni su također primjenjivi za različiti mikrokontroleri za aritmetičko oduzimanje, odbrojavanje vremena i brojač programa (računalo)
  • Oduzimači se koriste u procesorima za izračunavanje tablica, adresa itd.
  • Također je korisno za DSP i mrežne sustave.
  • Koriste se uglavnom za ALU unutar računala za oduzimanje poput CPU-a i GPU-a za grafičke aplikacije kako bi se smanjila složenost sklopa.
  • Uglavnom se koriste za obavljanje aritmetičkih funkcija poput oduzimanja unutar digitalnih uređaja, kalkulatora itd.
  • Ovi oduzimači također su prikladni za razne mikrokontrolere za odbrojavanje vremena, računalo (brojač programa) i aritmetičko oduzimanje
  • Oni se koriste za procesore za izračunavanje adresa, tablica itd.
  • Provedba ovoga s logičkim vratima poput NAND & NOR može se izvesti s bilo kojim logičkim krugom punog oduzimača, jer se oba NOR & NAND vrata nazivaju univerzalnim vratima.

Iz gornjih podataka, procjenom zbrajača, punog oduzimača pomoću dva kruga od pola oduzimača i njegovih tabličnih oblika, može se primijetiti da je Dout u punom oduzimaču točno sličan Soutu sabirnika. Jedina je varijacija što se A (ulazna varijabla) dopunjava u punom oduzimaču. Stoga je moguće postići da sklop punog zbrajanja promijenite u puni oduzimač samo dopunjavanjem i / p A prije nego što je dan na logička vrata za generiranje zadnjeg izlaznog bita (Bout).

Korištenjem bilo kojeg logičkog sklopa punog oduzimača može se implementirati puni oduzimač koji koristi NAND vrata i puni oduzimač koji koristi niti vrata, jer se i NAND i NOR vrata tretiraju kao univerzalna vrata. Evo pitanja za vas, koja je razlika između pola oduzimača i punog oduzimača?